lists.openwall.net   lists  /  announce  owl-users  owl-dev  john-users  john-dev  passwdqc-users  yescrypt  popa3d-users  /  oss-security  kernel-hardening  musl  sabotage  tlsify  passwords  /  crypt-dev  xvendor  /  Bugtraq  Full-Disclosure  linux-kernel  linux-netdev  linux-ext4  linux-hardening  linux-cve-announce  PHC 
Open Source and information security mailing list archives
 
Hash Suite: Windows password security audit tool. GUI, reports in PDF.
[<prev] [next>] [<thread-prev] [thread-next>] [day] [month] [year] [list]
Date:	Wed, 20 Jun 2012 15:08:06 +0800
From:	Axel Lin <axel.lin@...il.com>
To:	Yadwinder Singh Brar <yadi.brar01@...il.com>
Cc:	linux-kernel@...r.kernel.org,
	Mark Brown <broonie@...nsource.wolfsonmicro.com>,
	Liam Girdwood <lrg@...com>,
	Jonghwa Lee <jonghwa3.lee@...sung.com>,
	Myungjoo Ham <myungjoo.ham@...sung.com>,
	Kyungmin Park <kyungmin.park@...sung.com>,
	Chiwoong Byun <woong.byun@...sung.com>,
	Yadwinder Singh Brar <yadi.brar@...sung.com>
Subject: Re: [PATCH v2] regulator: max77686: Implement .set_ramp_delay() callback.

2012/6/20 Yadwinder Singh Brar <yadi.brar01@...il.com>:
> This patch implements the .set_ramp_delay callback to set the ramp_delay on
> hardware for BUCK2/3/4 if ramp_delay is set in regulator constraints.
>
> This patch also do some cleaning work for unrequired members of
> struct max77686_data.
>
> Signed-off-by: Yadwinder Singh Brar <yadi.brar@...sung.com>
> ---
>  drivers/regulator/max77686.c |   37 +++++++++++++++++++++++++++++--------
>  1 files changed, 29 insertions(+), 8 deletions(-)
>
> diff --git a/drivers/regulator/max77686.c b/drivers/regulator/max77686.c
> index a29eee3..5c2a32f 100644
> --- a/drivers/regulator/max77686.c
> +++ b/drivers/regulator/max77686.c
> @@ -65,11 +65,34 @@ enum max77686_ramp_rate {
>  };
>
>  struct max77686_data {
> -       struct device *dev;
> -       struct max77686_dev *iodev;
>        struct regulator_dev **rdev;
>  };
>
> +static int max77686_set_ramp_delay(struct regulator_dev *rdev, int ramp_delay)
> +{
> +       unsigned int ramp_value = RAMP_RATE_NO_CTRL;
> +
> +       switch (ramp_delay) {
> +       case 1 ... 13750:
> +               ramp_value = RAMP_RATE_13P75MV;
> +               break;
> +       case 13751 ... 27500:
> +               ramp_value = RAMP_RATE_27P5MV;
> +               break;
> +       case 27501 ... 55000:
> +               ramp_value = RAMP_RATE_55MV;
> +               break;
> +       case 55001 ... 100000:
> +               break;
> +       default:
> +               pr_warn("%s: ramp_delay: %d not supported, setting 100000\n",
> +                       rdev->desc->name, ramp_delay);
Hi Yadwinder,
I'm a bit confused about this default setting.
If set_ramp_delay() is not called, the default setting for BUCK234 is
MAX77686_DVS_RAMP_DELAY (27500 uV/uS).
So why you set default to 100000 here.

Regards,
Axel
--
To unsubscribe from this list: send the line "unsubscribe linux-kernel" in
the body of a message to majordomo@...r.kernel.org
More majordomo info at  http://vger.kernel.org/majordomo-info.html
Please read the FAQ at  http://www.tux.org/lkml/

Powered by blists - more mailing lists

Powered by Openwall GNU/*/Linux Powered by OpenVZ